首页 >> 大全

verilog语法:reg与wire

2023-10-29 大全 27 作者:考证青年

reg与wire

wire型数据常用来表示用于以关键字指定的组合逻辑信号。程序模块中输入输出信号类型缺省时自动定义为wire型。wire型信号可以用作任何方程式的输入,也可以用作“”语句或实例元件的输出。

reg型数据常用来表示用于“”模块内表示时序逻辑电路,常代表触发器。通常,在设计中要由“”块通过使用行为描述语句来表达逻辑关系。在“”块内被赋值的每一个信号都必须定义成reg型。注意,中的reg不一定只表示时序逻辑电路,也可以表达组合逻辑电路,取决于具体情况。

以上内容不过多介绍,书本上都有。下面介绍构建和使用例化好的时输入输出信号的类型要求。

如上图,构建一个模块时,

input必须是wire;(输入不定义默认就是wire)

可以是wire也可以是reg;(输出不用赋值就默认wire,要赋值就定义reg)

inout必须是wire;

注:INOUT类型一般信号线用做总线等双向数据传输的时候。就是一个端口同时做输入和输出。 inout 在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻’Z’。当 inout 端口不输出时,将三态门置高阻。

使用例化好的模块时,

外部连接input端口的可以是wire也可以是reg;(比如写时,与输入连接的通常定义为reg,但也可以是wire)

外部连接端口的必须是wire;(参考test bench)

外部连接inout端口的必须是wire;

以上内容参考自下文:

链接: 语法之三:变量

关于我们

最火推荐

小编推荐

联系我们


版权声明:本站内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容, 请发送邮件至 88@qq.com 举报,一经查实,本站将立刻删除。备案号:桂ICP备2021009421号
Powered By Z-BlogPHP.
复制成功
微信号:
我知道了